linux-BR.org

Notícias de software livre e tecnologias

O CocotB exige um makefile para fazer coisas como: diga a cocotb onde estão os arquivos verilog, qual simulador você está usando e como é chamado o seu TestBench CocotB.cocotb.start_soon (relógio (dut.clk, 1, units = “ns”). start ()) é como configuramos nosso relógio para ser executado como uma coroutina separada.Instale o simulador de icarus verilog para simular o Verilog
Instale o GTKWave
Certifique -se de ter o Python 3.6+ instalado
python -versão ou
Python3 -Versão
Instale o cocotb
Vamos fazer um módulo super simples, chamado contador.v.O snippet abaixo cria um teste, configura um relógio como uma coroutina, alterna o sinal de redefinição e depois executa uma pequena verificação de afirmação mais de 50ns.Este tutorial de início é uma introdução curta sobre como configurar o IVERILOG, GTKWAVE e COCOTB.

Fonte: https://signalprocessingjobs.com/docs/blogs/cocotb/getting-started/